Latest Publications

Optical Properties: 2D and Topological Materials

  • Optical second harmonic generation from silicon (100) crystals with process tailored surface and embedded silver nanostructures for silicon nonlinear nanophotonics, Bhowmik, Y.Q. An, S. Schujman, A.C. Diebold, and M. Huang, J. Appl. Phys. 128, (2020) 165106.
  • Fermi level manipulation through native doping in the topological insulator Bi2Se3, L.A. Walsh, A.J. Green, R. Addou, W. Nolting, C.R. Cormier, A.T. Barton, T.R. Mowll, R. Yue, N. Lu, N. Kim, M.J. Kim, V.P. LaBella, C. Ventrice, S. McDonnell, W.G. Vandenberghe, R.M. Wallace, A.C. Diebold, and C.L. Hinkle, ACS Nano 12, (2018), pp 6310-6318. online DOI: 10.1021/acsnano.8b03414.
  • Transiently stimulated second-harmonic generation from silicon nanogratings, Y.Q. An and A.C. Diebold, Phys. Rev. B 96, (2017), 201306R. ( abstract link https://journals.aps.org/prb/abstract/10.1103/PhysRevB.96.201306)
  • Surface oxidation of the topological insulator Bi2Se3
    Avery J. Green, Sonal Dey, Yong Q. An, Brendan O’Brien, Samuel O’Mullane, Bradley Thiel, and Alain C. Diebold
    Journal of Vacuum Science & Technology A 34(6), (2016), pp. 061403 (Abstract)
  • Tracking quintuple layer oxidation on cleaved Bi2Se3 by optical second-harmonic anisotropy
    Yong Q. An, Avery J. Green, and Alain C. Diebold
    Phys. Rev. B 93(24), (2016), pp. 241308 (Abstract)
  • Pulsed-N2 assisted growth of 5-20 nm thick β-W films
    Avyaya J. Narasimham, Avery Green, Richard J. Matyi, Prasanna Khare, Tuan Vo, Alain Diebold, and Vincent P. LaBella
    AIP Advances 5(11), (2015), pp. 117107 (Abstract)
  • Electronic Excitations in Graphene in the 1–50 eV Range: The π and π + σ Peaks Are Not Plasmons
    Florence J. Nelson, Juan-Carlos Idrobo, John D. Fite, Zoran L. Mišković, Stephen J. Pennycook, Sokrates T. Pantelides, Ji Ung Lee, and Alain C. Diebold
    Nano Lett. 14(7), (2014), pp. 3827-3831 (Abstract)
  • Optical second-harmonic generation induced by electric current in graphene on Si and SiC substrates
    Yong Q. An, J. E. Rowe, Daniel B. Dougherty, Ji Ung Lee, and Alain C. Diebold
    Phys. Rev. B 89(11), (2014), pp. 115310 (Abstract)
  • Enhanced Optical Second-Harmonic Generation from the Current-Biased Graphene/SiO2/Si(001) Structure
    Yong Q. An, Florence Nelson, Ji Ung Lee, and Alain C. Diebold
    Nano Lett. 13(5), (2013), pp. 2104-2109 (Abstract)
  • Optical properties of large-area polycrystalline chemical vapor deposited graphene by spectroscopic ellipsometry
    F. J. Nelson, V. K. Kamineni, T. Zhang, E. S. Comfort, J. U. Lee, and A. C. Diebold
    Applied Physics Letters 97(25), (2010), pp. 253110 (Abstract)

Mueller Matrix based Scatterometry

  • Characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Critical Dimension – Small Angle X-Ray Scattering, M. Korde, S. Kal, C. Alix, , A. Mosden, R.J. Kline, D. Sunday, and A.C. Diebold in preparation.
  • Non-destructive characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Mueller Matrix Spectroscopic Ellipsometry based scatterometry, M. Korde, S. Kal, C. Alix, N. Keller, G.A. Antonelli, A. Mosden, A.C. Diebold, J. Vac. Sci. Technol. B, 38, (2020), 024007.  https://avs.scitation.org/doi/10.1116/1.5136291
  • Non-destructive characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Mueller Matrix Spectroscopic Ellipsometry based scatterometry, M. Korde, S. Kal2, C Alix, N. Keller3, A. Mosden, A.C. Diebold, J. Vac. Sci. Technol. B, (2020), accepted.
  • Metrology of nanowire / nanosheet FETs for advanced technology nodes, M. Korde, R. J. Kline, D.F. Sunday, N. Keller, S. Kal, C. Alix, A. Mosden, A.C. Diebold, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 23-27, 2020.
  • Optical Characterization of multi-NST Nanowire Test Structures using Muller Matrix Spectroscopic Ellipsometry (MMSE) based scatterometry for sub 5nm nodes, M. Korde, S. Kal, C. Pereira, N. Keller, A. Mosden, and A.C. Diebold, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 24-28, 2019. Proc. of SPIE Vol. 10959 109590X.
  • Optical measurement of feature dimensions and shapes by scatterometry, A.C. Diebold, A. Antonelli, N. Keller, APL Mat. 6, (2018), 058201:  abstract:  https://doi.org/10.1063/1.5018310
  • Mueller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub 7-nm node gate-all-around transistor metrology, S. Dey, N. Keller, M. Korde, and Alain C. Diebold, SPIE, Metrology, Inspection, and Process Control for Microlithography XXXII, SPIE Advanced Lithography, San Jose, Feb 25-Mar. 1, 2018.
  • Modeling ellipsometric measurement of novel 3D structures with RCWA and FEM simulations
    Samuel O’Mullane, Nick Keller, and Alain C. Diebold
    Conference: Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, pp. 977805 (Apr 21, 2016) (Abstract)
  • Optical critical dimension metrology for directed self-assembly assisted contact hole shrink
    Dhairya Dixit, Avery Green, Erik R. Hosler, Vimal Kamineni, Moshe E. Preil, Nick Keller, Joseph Race, Jun Sung Chun, Michael O’Sullivan, Prasanna Khare, Warren Montgomery, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 15(1), (2016), pp. 014004-014004 (Abstract)
  • Modeling ellipsometric measurement of three-dimensional structures with rigorous coupled wave analysis and finite element method simulations
    Samuel O’Mullane, Nick Keller, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 15(4), (2016), pp. 044003-044003 (Abstract)
  • Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry
    Dhairya Dixit, Samuel O’Mullane, Sravan Sunkoju, Erik R. Hosler, Vimal Kamineni, Moshe Preil, Nick Keller, Joseph Race, Gangadhara Raja Muthinti, and Alain C. Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 94242P (Apr 10, 2015) (Abstract)
  • Scatterometric analysis of a plasmonic test structure
    Samuel O’Mullane, Nick Keller, Joseph Race, Brian Martinick, and Alain Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 942411 (Mar 19, 2015) (Abstract)
  • Optical CD metrology for directed self-assembly assisted contact hole shrink process
    Dhairya Dixit, Erik R. Hosler, Moshe Preil, Nick Keller, Joseph Race, Jun Sung Chun, Michael O’Sullivan, M. Warren Montgomery, and Alain Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 94240T (Mar 19, 2015) (Abstract)
  • Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology
    Dhairya Dixit, Samuel O’Mullane, Sravan Sunkoju, Abhishek Gottipati, Erik R. Hosler, Vimal Kamineni, Moshe Preil, Nick Keller, Joseph Race, Gangadhara Raja Muthinti, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 14(3), (2015), pp. 031208-031208 (Abstract)
  • Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry
    Dhairya J. Dixit, Vimal Kamineni, Richard Farrell, Erik R. Hosler, Moshe Preil, Joseph Race, Brennan Peterson, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 14(2), (2015), pp. 021102-021102 (Abstract)
  • Enhancing one dimensional sensitivity with plasmonic coupling
    Samuel O’Mullane, Brennan Peterson, Joseph Race, Nick Keller, and Alain C. Diebold
    Optics Express 22(21), (2014), pp. 26246 (Abstract)
  • Mueller matrix optical scatterometry of Si fins patterned using directed self-assembly block copolymer line arrays
    D. Dixit, M. Medikonda, A. C. Diebold, B. Peterson, and J. Race
    Conference: 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014), pp. 180-185 (May, 2014)
  • Metrology for directed self-assembly block lithography using optical scatterometry
    Dhairya Dixit, Vimal Kamineni, Richard Farrell, Erik Hosler, Moshe Preil, Joseph Race, Brennan Peterson, and Alain C. Diebold
    Conference: Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, pp. 90500N (Apr 02, 2014) (Abstract)
  • Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties
    Gangadhara R. Muthinti, Manasa Medikonda, Jody Fronheiser, Vimal K. Kamineni, Brennan Peterson, Joseph Race, and Alain C. Diebold
    Conference: Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, pp. 86810M (Apr 18, 2013) (Abstract)
  • Characterization of e-beam patterned grating structures using Mueller matrix based scatterometry
    Gangadhara Raja Muthinti, Brennan Peterson, Ravi K. Bonam, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 12(1), (2013), pp. 013018-013018 (Abstract)

High K- Metal Gate and Interconnect Barrier Characterization

  • Ferroelectric phase in 7 nm Hf(1-x)ZrxO2 thin films, V. Mukundan, S.M. Consiglio, D.H Triyoso, K. Tapily, M.E McBriarty, S. Schujman, K. Beckmann, V. Kaushik, N. Cady, R. Clark, G. Leusink, A.C. Diebold, submitted.
  • Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 thin films, V. Mukundan, S. Consiglio, D.H. Triyoso, K. Tapily, S. Schujman, C. Mart, T. Kämpfe, W. Weinreich, R.D. Clark, G.J. Leusink, and A.C. Diebold, Appl. Phys. Lett. 117, (2020), 262905.
  • Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 thin films, V. Mukundan, S. Consiglio, D.H. Triyoso, K. Tapily, S. Schujman, C. Mart, T. Kämpfe, W. Weinreich, R.D. Clark, G.J. Leusink, and A.C. Diebold, submitted.
  • Structural correlation of ferroelectric behavior in mixed hafnia-zirconia high-k dielectrics for FeRAM and NCFET applications, V. Mukundan, K. Beckmann, K. Tapily, S. Consiglio, R. Clark, G. Leusink, N. Cady, A.C. Diebold, MRS Advances (2019), pp 1-17. https://doi.org/10.1557/adv.2019.148
  • Teaching a New Dog Old Tricks:  Ferroelectric HfZrO Films and Devices, R.D. Clark, K. Tapily, S. Consiglio, C.S. Wajda, G.J. Leusink, A.C. Diebold, and S. Datta, Fall Symposium of the Electrochemical Society, Cancun,  Sept. 30 – Oct. 4, 2018. Published in ECS Transactions.
  • Atomic layer deposited ultrathin metal nitride barrier layers for ruthenium interconnect applications
    Sonal Dey, Kai-Hung Yu, Steven Consiglio, Kandabara Tapily, Takahiro Hakamata, Cory S. Wajda, Gert J. Leusink, Jean Jordan-Sweet, Christian Lavoie, David Muir, Beatriz Moreno, and Alain C. Diebold
    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35(3), (2017), pp. 03E109 (Abstract)
  • Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks
    Sonal Dey, Kandabara Tapily, Steven Consiglio, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, Arthur R. Woll, and Alain C. Diebold
    Journal of Applied Physics 120(12), (2016), pp. 125304 (Abstract)
  • Electrically Scaled Hafnium Oxide Based Ge Devices
    Kandabara Tapily, Sonal Dey, Steven Consiglio, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 75(5), (2016), pp. 135-140 (Abstract)
  • Higher-k Tetragonal Phase Stabilization in Atomic Layer Deposited Hf1-xZrxO2 (0<x<1) Thin Films on Al2O3 Passivated Epitaxial-Ge
    Sonal Dey, Kandabara Tapily, Steven Consiglio, Kai-Hung Yu, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, Arthur R. Woll, and Alain C. Diebold
    MRS Advances 1(4), (2016), pp. 269-274 (Abstract)
  • In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta1-xAlxNy Films for Cu Diffusion Barrier Applications
    S. Consiglio, S. Dey, K. Yu, K. Tapily, R. D. Clark, T. Hasegawa, C. S. Wajda, G. J. Leusink, and A. C. Diebold
    ECS J. Solid State Sci. Technol. 5(9), (2016), pp. P509-P513 (Abstract)
  • Atomic Layer Deposition of Ultrathin TaN and Ternary Ta1-XAlXNy Films for Cu Diffusion Barrier Applications in Advanced Interconnects
    Steven Consiglio, Kyle Yu, Sonal Dey, Kandabara Tapily, Robert D. Clark, Toshio Hasegawa, Cory S. Wajda, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 69(7), (2015), pp. 181-189 (Abstract)
  • Electrical Enhancement and Higher-K Engineering in Ultra-Thin Atomic Layer Deposited Hf1-xAlxOy Films
    K. Tapily, S. Consiglio, R. D. Clark, R. Vasić, C. S. Wajda, J. Jordan-Sweet, G. J. Leusink, and A. C. Diebold
    ECS J. Solid State Sci. Technol. 4(2), (2015), pp. N1-N5 (Abstract)
  • Engineering crystallinity of atomic layer deposited gate stacks containing ultrathin HfO2 and a Ti-based metal gate: Effects of postmetal gate anneal and integration schemes
    Steven Consiglio, Kandabara Tapily, Robert D. Clark, Toshio Hasegawa, Fumitaka Amano, Gert J. Leusink, Jean Jordan-Sweet, Relja Vasić, Manasa Medikonda, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(3), (2014), pp. 03D122 (Abstract)
  • Multi-technique x-ray and optical characterization of crystalline phase, texture, and electronic structure of atomic layer deposited Hf1−xZrxO2 gate dielectrics deposited by a cyclical deposition and annealing scheme
    Relja Vasić, Steven Consiglio, Robert D. Clark, Kandabara Tapily, Shawn Sallis, Bo Chen, David Newby Jr, Manasa Medikonda, Gangadhara Raja Muthinti, Eric Bersch, Jean Jordan-Sweet, Christian Lavoie, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 113(23), (2013), pp. 234101 (Abstract)
  • Texturing and Tetragonal Phase Stabilization of ALD HfxZr1-xO2 Using a Cyclical Deposition and Annealing Scheme
    Kandabara Tapily, Steven Consiglio, Robert D. Clark, Relja Vasić, Eric Bersch, Jean Jordan-Sweet, Ilyssa Wells, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 45(3), (2012), pp. 411-420 (Abstract)
  • Structural Characteristics of Electrically Scaled ALD HfO2 from Cyclical Deposition and Annealing Scheme
    Steven Consiglio, Robert D. Clark, Eric Bersch, Joshua LaRose, Ilyssa Wells, Kandabara Tapily, Gert J. Leusink, and Alain Diebold
    ECS Trans. 41(2), (2011), pp. 89-108 (Abstract)
  • Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm
    Vimal K. Kamineni, James N. Hilfiker, John L. Freeouf, Steve Consiglio, Robert Clark, Gert J. Leusink, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2894-2898 (Abstract)
  • Systematic study of the effect of La2O3 incorporation on the flatband voltage and Si band bending in the TiN/HfO2/SiO2/p-Si stack
    Ming Di, Eric Bersch, Robert D. Clark, Steven Consiglio, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 108(11), (2010), pp. 114107 (Abstract)
  • Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy
    E. Bersch, M. Di, S. Consiglio, R. D. Clark, G. J. Leusink, and A. C. Diebold
    Journal of Applied Physics 107(4), (2010), pp. 043702 (Abstract)

X-Ray Based Transistor Fin Metrology

  • Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1−xGex/Si fin structures using x-ray reciprocal space maps
    Manasa Medikonda, Gangadhara R. Muthinti, Jody Fronheiser, Vimal Kamineni, Matthew Wormington, Kevin Matney, Thomas N. Adam, Evguenia Karapetrova, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(2), (2014), pp. 021804 (Abstract)

Optical Properties: Semiconductors, Dielectrics, and Metals

  • Transiently stimulated second-harmonic generation from silicon nanogratings
    Y.Q. An and A.C.Diebold
    Phys. Rev. B 96, (2017), 201306R (Abstract)
  • Spectroscopic ellipsometry studies of 3-stage deposition of CuIn1-xGaxSe2 on Mo-coated glass and stainless steel substrates
  • Sravan Sunkoju, Sandra Schujman, Dhairya Dixit, Alain Diebold, Jian Li, Robert Collins, and Pradeep Haldar
    Thin Solid Films 606, (2016), pp. 113-119 (Abstract)
  • Optical properties of pseudomorphic Ge1−xSnx (x = 0 to 0.11) alloys on Ge(001)
    Manasa Medikonda, Gangadhara R. Muthinti, Relja Vasić, Thomas N. Adam, Alexander Reznicek, Matthew Wormington, Girish Malladi, Yihwan Kim, Yi-Chiau Huang, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(6), (2014), pp. 061805 (Abstract)
  • Enhancing one dimensional sensitivity with plasmonic coupling
    Samuel O’Mullane, Brennan Peterson, Joseph Race, Nick Keller, and Alain C. Diebold
    Optics Express 22(21), (2014), pp. 26246 (Abstract)
  • Effects of stress on the dielectric function of strained pseudomorphic Si1−xGex alloys from 0 to 75% Ge grown on Si (001)
    Gangadhara Raja Muthinti, Manasa Medikonda, Thomas Adam, Alexander Reznicek, and Alain C. Diebold
    Journal of Applied Physics 112(5), (2012), pp. 053519 (Abstract)
  • Optical and structural characterization of thermal oxidation effects of erbium thin films deposited by electron beam on silicon
    Himani S. Kamineni, Vimal K. Kamineni, Richard L. Moore, Spyros Gallis, Alain C. Diebold, Mengbing Huang, and Alain E. Kaloyeros
    Journal of Applied Physics 111(1), (2012), pp. 013104 (Abstract)
  • Electron-phonon interaction effects on the direct gap transitions of nanoscale Si films
    V. K. Kamineni and A. C. Diebold
    Applied Physics Letters 99(15), (2011), pp. 151903 (Abstract)
  • Formation of optical barriers with excellent thermal stability in single-crystal sapphire by hydrogen ion implantation and thermal annealing
    William T. Spratt, Mengbing Huang, Chuanlei Jia, Lei Wang, Vimal K. Kamineni, Alain C. Diebold, and Hua Xia
    Applied Physics Letters 99(11), (2011), pp. 111909 (Abstract)
  • Investigation of optical properties of benzocyclobutene wafer bonding layer used for 3D interconnects via infrared spectroscopic ellipsometry
    Vimal K. Kamineni, Pratibha Singh, LayWai Kong, John Hudnall, Jamal Qureshi, Chris Taylor, Andy Rudack, Sitaram Arkalgud, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2924-2928 (Abstract)
  • Dielectric properties and thickness metrology of strain engineered GaN/AlN/Si (111) thin films grown by MOCVD
    M. Tungare, V. K. Kamineni, F. Shahedipour-Sandvik, and A. C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2929-2932 (Abstract)
  • Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm
    Vimal K. Kamineni, James N. Hilfiker, John L. Freeouf, Steve Consiglio, Robert Clark, Gert J. Leusink, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2894-2898 (Abstract)
  • Systematic study of the effect of La2O3 incorporation on the flatband voltage and Si band bending in the TiN/HfO2/SiO2/p-Si stack
    Ming Di, Eric Bersch, Robert D. Clark, Steven Consiglio, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 108(11), (2010), pp. 114107 (Abstract)
  • Optical metrology of Ni and NiSi thin films used in the self-aligned silicidation process
    V. K. Kamineni, M. Raymond, E. J. Bersch, B. B. Doris, and A. C. Diebold
    Journal of Applied Physics 107(9), (2010), pp. 093525 (Abstract)
  • Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy
    E. Bersch, M. Di, S. Consiglio, R. D. Clark, G. J. Leusink, and A. C. Diebold
    Journal of Applied Physics 107(4), (2010), pp. 043702 (Abstract)

Advanced X-Ray Microscopy of TSV Structures

  • Applying x-ray microscopy and finite element modeling to identify the mechanism of stress-assisted void growth in through-silicon vias
    L. W. Kong, J. R. Lloyd, K. B. Yeap, E. Zschech, A. Rudack, M. Liehr, and A. Diebold
    Journal of Applied Physics 110(5), (2011), pp. 053502 (Abstract)

Reference materials Measurements and Advanced TEM

  • Thickness and Rotational Effects in Simulated HRTEM Images of Graphene on Hexagonal Boron Nitride
    Avery J. Green and Alain C. Diebold
    Microscopy and Microanalysis 20(6), (2014), pp. 1753-1763 (Abstract)
  • Simulation Study of Aberration-Corrected High-Resolution Transmission Electron Microscopy Imaging of Few-Layer-Graphene Stacking
    Florence Nelson, Alain C. Diebold, and Robert Hull
    Microscopy and Microanalysis 16(2), (2010), pp. 194-199 (Abstract)
  • Thin Dielectric Film Thickness Determination by Advanced Transmission Electron Microscopy
    A. C. Diebold, B. Foran, C. Kisielowski, D. A. Muller, S. J. Pennycook, E. Principe, and S. Stemmer
    Microscopy and Microanalysis 9(6), (2003), pp. 493-508 (Abstract)

READY TO GET STARTED?

Request More Information

Detailed information, brochures and forms can be mailed to you upon request.

REQUEST NOW

Let's Start The Process     

Complete and submit your application to SUNY Polytechnic Institute.            

APPLY NOW