Publications

Significant Books

  • Optical and Electrical Properties of Nanoscale Materials, Alain C. Diebold and Tino Hofmann, (Springer Nature, 2021).
  • Handbook of Silicon Semiconductor Metrology (Dekker, 2001).

Co-editor of

  • Semiconductor Characterization: Present Status and Future Needs (1996).
  • Characterization and Metrology for ULSI Technology (1998, 2000, 2003, and 2005).
  • Frontiers of Metrology and Characterization for Nanoelectronics (2007, 2009, 2011) AIP Press.
  • Analytical and Diagnostic Techniques for Semiconductor Materials, Devices, and Processes 7, ECS Transactions Vol. II No. 3 2007.

Book Chapters

  • Characterization and Metrology for Graphene Materials, Structures, and Devices, L. Colombo, A. Diebold, C. Casiraghi, M. Kim, R.M. Wallace, and A. Venugopal, Characterization and Metrology for Nanoelectronics and Nanostructures, (Pan Stanford, 2016), Chapter 15.
  • Advanced Ellipsometry Measurements for Semiconductor Structures, S.J. O’Mullane, D. Dixit, and A.C. Diebold, Characterization and Metrology for Nanoelectronics and Nanostructures, (Pan Stanford, 2016), Chapter 3.
  • Spectroscopic Ellipsometry of Nanoscale Materials for Semiconductor Device Applications, A.C. Diebold, F.J. Nelson, and V.K. Kamineni, Ellipsometry at the NanoScale, (Springer, Berlin, 2013), Chapter 16.
  • 3D Interconnect Technology, J.U. Knickerbocker, L.W. Kong, S. Niese, A. Diebold, E. Zschech,In Advanced Interconnects for ULSI Technology, Ed. M. R. Baklanov, P.S. Ho and E. Zschech (Wiley, West Sussex, 2012), Ch. 12.
  • Novel Analytical Methods for Cleaning Evaluation, C.M. Sparks and A.C. Diebold, In Handbook for Cleaning for Semiconductor Manufacturing: Fundamentals and Applications, 2nd Edition, Editors: K. Reinhardt and R.F. Reidy, (Wiley, New York, 2011), Chapter 15.
  • Optical Properties of Semiconductors, D.G. Seiler, S. Zollner, A.C. Diebold, and P.M. Amirtharaj, In Handbook of Optics Volume IV, 3nd edition, (McGraw Hill, New York, 2009), Chapter 5.
  • Challenges to advanced materials characterization for ULSI applications, A.C. Diebold, In Materials for Information Technology, Eds. E. Zschech, C.M. Whelan, and T. Mikolajick, (Springer, New York, 2005), pp 421- 436.
  • In-Line Metrology (updated for second edition), A.C. Diebold, Chapter 24 In the Handbook of Semiconductor Manufacturing, Y. Nishi and R. Doering Eds., (Marcel Dekker, New York, new edition in process).
  • Materials Characterization and Metrology of High k Dielectric Films, A.C. Diebold and W. Chism, In: High Dielectric Constant Materials, eds. H.R. Huff and D.C. Gilmer, (Springer-Verlag, Heidelberg, 2005) pp 483 – 517.
  • Metrology Challenges for 100 nm and Beyond, A.C. Diebold, in Semiconductor Processing and IC Fabrication, H.-J., Gossmann, ed., in The Encyclopedia of Materials: Science and Technology, (Pergamon, accepted for 2001).
  • Overview of Metrology for On-chip Interconnect, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Status of Lithography Metrology at the end of 2000, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Silicon Semiconductor Metrology, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Metrology for On-Chip Interconnect Dielectrics, A.C. Diebold, W. Chism, T.G. Dziura, and A. Kanan, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Metal Interconnect Process Control using Picosecond Ultrasonics – A.C. Diebold and R. Stoner, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Electron microscopy based measurement of feature thickness and calibration of reference materials, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • In-Line Metrology, A.C. Diebold, Chapter 24 in the Handbook of Semiconductor Manufacturing, Y. Nishi and R. Doering Eds., (Marcel Dekker, New York, 2000), pp 745-795.

Invited Talks

  • Characterization and Metrology for Atomically Precise Manufacturing (Working Title), AVS National Symposium, Nov. 5-10, 2023, Portland, OR.
  • Characterization and Metrology for Advanced Memory Devices (working title), International Conference on Electron, Ion, Photon Beam Technology and Nanofabrication (EIPBN), May 30-June 2, 2023,  San Francisco, CA.
  • Determination of Nanostructure Shape and Dimension using Mueller Matrix Spectroscopic Ellipsometry, International Conference on Spectroscopic Ellipsometry 9, May 22-28, 2022,  Beijing.
  • In-Line Metrology for Semiconductor Manufacturing, Invited Tutorial, Frontiers of Characterization and Metrology 2022, June 20-23, Monterey, CA.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry and CD- Small Angle X-ray Scattering to determination of the feature shape and dimensions of integrated circuit structures, 18th International Conference on Nanosciences & Nanotechnologies (NN21), 6-9 July 2021, Thessaloniki, Greece.
  • Metrology for Advanced Transistor and Memristor Devices and Materials, A.C. Diebold and N. Cady, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 23-27, 2020.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry Measurement of Feature Dimension and Shape for Integrated Circuit Structures, 66th AVS National Symposium, Columbus, Oct. 20-25, 2019.
  • The application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry to determination of the feature shape and dimensions of integrated circuit structures, Invited Tutorial Talk at the International Conference on Spectroscopic Ellipsometry VIII, Barcelona, May 26-31, 2019.
  • Advances in Optical Dimensional Metrology and Microscopy, Critical Materials Conference, Saratoga, April 26, 2019.
  • The application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry to determination of the feature shape and dimensions of integrated circuit structures, Invited Tutorial Talk at the International Conference on Spectroscopic Ellipsometry VIII, Barcelona, May 26-31, 2019.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry Measurement of Feature Dimension and Shape for Integrated Circuit Structures, 66th AVS National Symposium, Columbus, Oct. 20-25, 2018.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry based Measurement of Feature Shape and Dimension, Plenary Note Talk for Frontiers in Optical Metrology, TOM6, European Optical Society Biannual Meeting 2018, Delft, Oct. 8-12, 2018.
  • Nanometrology and Nanocharacterization in Nanoelectronics, 2017 AVS National Symposium, Oct. 30 - Nov. 3, Tampa, FL.
  • Muller matrix-based scatterometry, 2017 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics, Monterey, CA, Mar. 21-23, 2017.
  • Materials Characterization at the Nanoscale, UT Dallas, March 11, 2016 
  • Semiconductor Industry Needs for Electron Microscopy, in “Electron Microscopy Frontiers: Metrology Opportunities and Challenges”, NIST, Gaithersburg, March 8 & 9, 2017.
  • Characterization and Metrology from FinFETS & Interconnect to Beyond CMOS materials, Semicon Korea 2016, Seoul, Korea, February Feb. 9, 2017.  
  • Importance and Challenges of Metrology for Nanoscale Materials and Semiconductor Structures, Determining the Composition and Structure of Small Volumes II, Oregon Challenges Workshop Keynote Talk, Eugene, July 28 and 29, 2015.
  • Synchrotron Metrology for Ultra-Thin Films Deposited by ALD for Emerging MOS Devices, Sonal Dey and Alain Diebold, Workshop on Semiconductor Technology Development through Characterization by Synchrotron and Electron Microscopy Techniques at the NSLS-II/CFN Users’ Meeting, Brookhaven National Laboratory, May 20, 2015.
  • Optical Properties of Nanoscale Nanoelectronic Materials, University of Texas San Antonio Department of Physics, April 11, 2014.
  • Metrology Tools for Semiconductor Manufacturing, A.C. Diebold, Advances in Measurement Technology Session at the March 2014 APS meeting, Denver, CO, March 3 – 7, 2014.
  • Optical Properties of Nanoscale Nanoelectronic Materials, A.C. Diebold, Laboratory of Surface Modification (LSM) Seminar Series, Rutgers University, New Brunswick, NJ, November 14, 2013.
  • Optical Properties of Nanoscale Materials, A.C. Diebold, AVS National Symposium, Long Beach, CA, October 27 – November 1, 2013.
  • The 2012 ITRS Metrology Roadmap, A.C. Diebold and C. Hacker, 2013 Frontiers of Characterization and Metrology for Nanoelectronics, Gaithersburg, MD, March 26 -28, 2013.
  • Beyond Graduate School: Academic and Industrial Science, Center for Nanohybrid Function Materials NSF-EPSCoR Symposium, University of Nebraska, Oct. 15, 2012.
  • Metrology for Advanced Gate Dielectric Materials, Synchrotron Techniques in Microelectronics Research at the 2012 NSLS/CFN Joint Users’ Meeting, Brookhaven National Laboratory, May 23, 2012.
  • The Effect of Electron Phonon Interactions on Optical Properties of Nanoscale Films, European Materials Research Society (E-MRS), Strasbourg, May 14-18, 2012.
  • Impact of Nanoscale Dimensions on Optical Properties, Nanotech Conference and Expo 2011, Boston, June 13 -16, 2011.
  • Characterization and Metrology Roadmap for Future CMOS, Frontiers of Characterization and Metrology for Nanoelectronics 2011, May 23 – 27, 2011.
  • Semiconductor Metrology from New Transistor and Interconnect Materials to Future Nano-Structures; Keynote talk as a part of the “opening ceremonies” for Metrology, Inspection, and Process Control for Microlithography XXV, at SPIE Advanced Lithography, San Jose, 27 February – 4 March 2011.
  • Topic Optical Characterization of Graphene, Physical Chemistry Seminar, Department of Chemistry, Purdue University, March 2, 2011.
  • Optical Characterization of CVD Graphene using Spectroscopic Ellipsometry, University of Delaware, Materials Science seminar, Oct. 13, 2010.
  • 3D Integration: Processes, Materials and Analysis (with Ehrenfried Zschech, Fraunhofer IZFP Dresden), at the 3D Interconnect Process Control and Quality Engineering Tutorial at the Electronics System Integration Technology Conferences 2010, September 13, 2010. Berlin, Germany
  • Optical spectroscopy for bonded wafer inspection: IR, Raman at the 3D Interconnect Process Control and Quality Engineering Tutorial at the Electronics System Integration Technology Conferences 2010, September 13, 2010. Berlin, Germany.
  • Graphene Characterization and Metrology and its Standardization, Fourth International Workshop on Metrology, Standardization and Industrial Quality of Nanotubes (MSIN10), Montreal, 27 June 2010.
  • From the Lab to the FAB: the Progression of Laboratory to FAB based Measurements, Short course at 10th European Advanced Equipment Control/Advanced Process Control (AEC/APC) Conference, Catania, Sicily – Italy, 2010.
  • Advances in Graphene Metrology, A.C. Diebold, T. Zhang, and F. Nelson, 217th ECS Meeting, , Vancouver, Canada, April 26 -30, 2010.
  • Metrology of Advanced Materials with Emphasis on High K – Metal Gate and Graphene, KLA-Tencor’s CTO Seminar Series, San Jose July 16, 2009.
  • The Characterization and Metrology of Graphene, Nanomanufacturing Summit 2009 May 27-29 Boston.
  • Metrology for Emerging Materials, Devices, and Structures: Graphene as an Example, A.C. Diebold, Frontiers of Characterization and Metrology for Nanoelectronics 2009, Albany, May 11 -14, 2009.
  • Process integration and process characterization of high-k/metal gate stacks, 18th Material for Advanced Metallization Workshop, Grenoble, France, March  8 to 11, 2009.
  • Overview of Optical Measurements for Advanced Transistor Processes, Columbia University, Dec. 9, 2008.
  • Impact of Quantum Confinement on the characterization of thin semiconductor films, AVS – Surface Analysis 2008, State College, PA, June 2008.
  • Metrology requirements for double patterning – Approaching the Optical Limit: Practical Methods for Patterning 22nm HP and Beyond, Lake George, NY, May 15, 2008.
  • Are Optical Measurements Sensitive to Quantum Confinement?, DPG 2008 Annual Meeting of the German Society of Physics (DPG), Berlin, Feb 25 – 29, 2008.
  • Optical Characterization of Thin Semiconductor and Metal Films, FENA ONAMI Workshop on Nanoelectronics for High Performance Computing and Information Processing, UCLA, Nov. 20, 2007.
  • Optical Observation of Quantum confinement and Quantum Size Effects, Rutgers University, Surface Science Seminar Series, September 13, 2007
  • Ellipsometry and NanoMetrology, 4th International Conference on Spectroscopic Ellipsometry, Stockholm, June 11-15, 2007.
  • Metrology for Nanoelectronics: Metrology Roadmap, AEC-APC Europe, Dresden, April 17-19, 2007.

Selected Previous Publications

  • Spectroscopic Ellipsometry Characterization of HfxSiyOz films Using The Cody – Lorentz Parameterized Model, J. Price, P.Y. Hung, T. Rhoad, B. Foran, and A.C. Diebold, Appl. Phys.Lett.85, (2004), pp 1701- 1703. (Abstract)
  • Thin Dielectric Film Thickness Determination by Advanced Transmission Electron Microscopy, A.C. Diebold, B. Foran, C. Kisielowski, D.A. Muller, S.J. Pennycook, E. Principe, and S. Stemmer, Microsc. Microanal. 9, 2003, pp 493- 508. – Awarded Best Materials Paper 2003 by Microscopy and Microanalysis. (Abstract)
  • Characterization and production metrology of thin transistor gate oxide films, A.C. Diebold, D. Venables, Y. Chabal, D. Muller, M. Weldon, and E.Garfunkel, Mat. Sci. in Semi Proc. 2, (1999), pp. 103-147(45) (Abstract)
  • High-Resolution Microcalorimeter Energy-Dispersive Spectrometer for X-ray Microanalysis and Particle Analysis, D.A Wollman, G.C. Hilton, K.D. Irwin, L.L. Dulcie, N.F. Bergren, D.E. Newbury, K-S Woo, B.Y.H. Liu, A.C. Diebold, and J. Martinis, Characterization and Metrology for ULSI Technology, D.G. Seiler, A.C. Diebold, M. Bullis, T.J. Shaffner, R. McDonald, and E.J. Walters Eds., (AIP Press, New York, 1998), pp 799-804.
  • Comparison of the submicron particle analysis capabilities of Auger Electron spectroscopy, time-of-flight secondary ion mass spectrometry, and scanning electron microscopy with energy dispersive x-ray spectroscopy for particles deposited on silicon wafers with one micron thick oxide layers, A.C. Diebold, K. Childs, P. Lindley, J. Viteralli, J. Kingsley, B.Y.H. Liu, and K-S Woo, J. Vac. Sci. Technol. A16, 1825, 1998.
  • A New Time of Flight Heavy Ion Backscattering Spectrometer System for Trace Contamination Measurements, J. Banks, J. Knapp, and A.C. Diebold, Proceedings of Ion Beam Analysis 12, 1995.
  • Characterization of 2-Dimensional Dopant Profiles: Status and Review, A.C. Diebold, M. Kump, J. Kopanski, and D. Seiler, Diagnostic Techniques for Semiconductor Materials and Devices 1994, Eds. D.K. Schroeder, J.L. Benton, and P. Rai-Choudhary, The Electrochemical Proceedings Volume 94-33, 78 (1994).
  • Microcontamination Detection Using HIBS (heavy ion backscattering spectrometry), J. Knapp, J. Banks, B. Doyle, and A.C. Diebold, Proceedings of Microcontamination 94, 1994.
  • Measurement of Silicon Surface Microroughness by AFM, Y.E. Strausser, B. Doris, A.C. Diebold, and H.R. Huff, The 185th meeting of the Electrochemical Society, May 22-27, 1994, San Francisco, CA.
  • Measurement of Silicon Surface Microroughness by Atomic Force Microscopy, B. Doris, A.C. Diebold, R. Hegde, and H. Huff, ASTM F1.06 Workshop on Particles, Haze, and Microroughness, Austin, Nov. 2 + 3, 1993.
  • Evaluation of Surface Analysis Methods for Characterization of Trace Metal Surface Contaminants found in Silicon IC Manufacturing, A.C. Diebold, P. Maillot, M. Gordon, J. Baylis, J. Chacon, R. Witowski, H. Arlinghaus, J.A. Knapp, and B.L. Doyle, Journal of Vacuum Science and Technology A10, 2945 (1992).
  • Characterization of Structure Function Relations for Various TiN Films, V.S. Dharmadhikari, A.C. Diebold, E. Boden, and C.F. Hoener, 38th Annual Symposium American Vacuum Society, Nov. 11 15, 1991, Seattle, WA.
  • Evaluation of Sputter Initiated Resonant Ionization Spectroscopy For Characterization of Trace Metal Contamination found in Silicon IC Manufacturing, A.C. Diebold, P. Maillot, M. Gordon, and H. Arlinghaus, Second International Workshop on Post-Ionization Techniques in Surface Analysis, May 15 17, 1991, State College, Pennsylvania.
  • Use of Raman Spectroscopy to Characterize Strain in III V Epilayers: Application to InAs on GaAs (001) grown by MBE, A.C. Diebold, S.W. Steinhauser, and R.P. Mariella, Jr., Ninth Molecular Beam Epitaxy Workshop, Sept. 1988, and Journal of Vacuum Science and Technology B7, 365 (1989).
  • Dry Process UV Patterning of Paracyclophane to Polyparaxylylene, R.P. Mariella Jr., S.W. Steinhauser, and A.C. Diebold, Journal of Vacuum Science and Technology B5, 1360 (1987).
  • High Resolution Electron Energy Loss Spectroscopy of Hydrogen Chemisorption at Nb (100) Surfaces: Evidence for the Subsurface Absorption Sites, Ying Li, J.L. Erskine, and A.C. Diebold, Physical Review B 34, 5951 (1986).
  • An Investigation by Auger and Laser Acoustic Microscopy of the Bond between Layers of Consolidated Amorphous Ribbon (Powercore), D. Raybould and A.C. Diebold, Journal of Materials Science 21, 193 (1986).
  • Stability of High Frequency Magnetic Properties of Metallic Glasses, G.E. Fish, V.R.V. Ramanan, R. Hasegawa, and A.C. Diebold, IEEE Transactions on Magnetics, Mag 19, No.5, 1937, (1983).
  • Angle Resolved SIMS Studies of O2 and CO Chemisorption on Ni3Fe (111), R.J. Bleiler, A.C. Diebold, and N. Winograd, Journal of Vacuum Science and Technology A1(2), 1230 (1983).
  • Theory of Charge Transfer for Desorption of Ions from Surfaces, B.J. Garrison, A.C. Diebold, J.H. Lin, and Z. Sroubek, Surface Science 124, 461 (1983).
  • A Convergence Study of Solid Models Used in the Generalized Langevin Theory for Gas Solid Processes, A.C. Diebold, Chemical Physics Letters 69, 505 (1980).
  • Generalized Langevin Theory for Gas Solid Processes: Continuum Elastic Treatment of Surface Lattice Dynamics, A.C. Diebold, S.A. Adelman, and C.Y. Mou, Journal of Chemical Physics 71, 3236 (1979).
  • Energetics of Diatom Solid Dissociative Adsorption, A.C. Diebold and G. Wolken, Surface Science 82, 245 (1979).
  • Vibrational Relaxation Times of F2 He and Ar, H2 He, and D2 He II, W.A. Cady and A.C. Diebold, Journal of Chemical Physics 67, 4730 (1977).
  • Vibrational Relaxation Times of F2 with Ar and He, and H2 and D2 with He, W.A. Cady and A.C. Diebold, Journal of Chemical Physics 64, 686 (1976).

Conference Proceedings

  • D. G. Seiler, A. C. Diebold, R. McDonald, C. M. Garner, D. Herr, R. P. Khosla, E. M. Secula, Frontiers of Characterization and Metrology for Nanoelectronics: 2009, American Institute of Physics, Melville, NY, Vol. 1173, 398 pp.
  • D. G. Seiler, A. C. Diebold, R. McDonald, C. M. Garner, D. Herr, R. P. Khosla, E. M. Secula, Frontiers of Characterization and Metrology for Nanoelectronics: 2007, American Institute of Physics, Melville, NY, Vol. 931, 603 pp.
  • D. G. Seiler, A. C. Diebold, R. McDonald, C. Ayre, R. Khosla, S. Zollner, E. M. Secula, Characterization and Metrology for ULSI Technology: 2005, American Institute of Physics, Melville, NY, 11747-4502, Vol 788, 667 pp.
  • D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, E. M. Secula, Characterization and Metrology for ULSI Technology: 2003, American Institute of Physics, Melville, NY, Vol 683, 812 pp.
  • D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. C. McDonald, W. M. Bullis, P. J. Smith, E. M. Secula, Characterization and Metrology for ULSI Technology: 2000, American Institute of Physics, Melville, NY, Vol 550, pp. 1-708.
  • D. G. Seiler, A. C. Diebold, W. M. Bullis, T. J. Shaffner, R. C. McDonald, E. J. Walters, Characterization and Metrology for ULSI Technology, American Institute of Physics, Melville, NY, Vol 449.
  • W. M. Bullis, D. G. Seiler, A. C. Diebold, Semiconductor Characterization: Present Status and Future Needs: 1995, American Institute of Physics, Woodbury, NY, 729p.

READY TO GET STARTED?

Request More Information

Detailed information, brochures and forms can be mailed to you upon request.

REQUEST NOW

Let's Start The Process     

Complete and submit your application to SUNY Polytechnic Institute.            

APPLY NOW